2008年4月21日月曜日

ゼロディレイ・シミュレーション

これ、FFのRTLから波形を見たところ。RTLはこんな感じです。
module ff;

reg clk,D,R;
initial begin
$dumpfile("ff.vcd");$dumpvars(0,ff);
clk=0;D=0;
#3; D=1;
#2; D=0;
#10 $finish;
end
always #1 clk=~clk;

always @(posedge clk)
R <= D;
endmodule
クロックの立ち上がりのとき、データ(D)の値は`1`と表示されてます。実回路ではディレイが乗って、クロックの立ち上がりではまだD=`0`で、ホールド時間後にD=`1`に変化するはずですが、波形上はこのホールド時間が見えてきません。実負荷シミュレーションなら遅れがでますね。
波形表示ツールはCadenceのSimvisionなのですが、フリーのGTKWaveでも同じように表示されます(当たり前ですが)。しかし私、これ見難い。常にディレイはあるものとして回路を考えていますから、クロックの立ち上がりで即座に値が変化する、って感覚になかなか慣れません。

2008年4月15日火曜日

I2C

ここがI2Cに関する詳しい。

2008年4月13日日曜日

RS232Cコネクタのオス/メス

RS232Cコネクタのオス/メス
電子回路の豆知識にストレートケーブルとクロスケーブルのなぞについて解説がありますし、ググれば沢山出てきます、結局は皆さん苦労しているのでしょうねぇ。LANケーブルについても同じような状況にあるようですね。