skip to main | skip to sidebar

CUI : mutt fdclone w3m screen

2008年2月27日水曜日

3state HDL

module top;

initial begin
$dumpfile("a.vcd");
$dumpvars(0,top);
en=1;
repeat (10) #10 en=~en;
end
assign bd=(~en)? 3'b111 : 3'bzzz;

reg en;
wire [2:0] bd;

a a(en, bd);
endmodule

module a(en,bd);
input en;
inout [2:0] bd;
reg [2:0] r,s;
always @ (en)
if(en)
r=3'b101;
else
s=bd;
assign bd=(en)?r:1'bz;
endmodule
投稿者 オック 時刻: 21:41

0 件のコメント:

コメントを投稿

次の投稿 前の投稿 ホーム
登録: コメントの投稿 (Atom)

ブログ アーカイブ

  • ►  2009 (6)
    • ►  11月 (1)
    • ►  5月 (1)
    • ►  4月 (1)
    • ►  3月 (2)
    • ►  2月 (1)
  • ▼  2008 (47)
    • ►  7月 (1)
    • ►  5月 (1)
    • ►  4月 (3)
    • ►  3月 (1)
    • ▼  2月 (13)
      • 3state HDL
      • TD4.v 3段パイプランのブロック図
      • TD4.v CPUの創り方 3段パイプライン版
      • TD4.v CPUの創り方 2段パイプライン版
      • TD4.v CPUの創り方
      • startx/startxwin.bat/startwin.sh
      • CygwinX.bat(startwin.bat日本語編)
      • imeproxy で cygwin日本語入力
      • dot ファイルにパスワードが散乱
      • ベイズ統計理論とPush型情報提供
      • procmail スプール先を毎月変える
      • 透明Window その2
      • w3m なぜか.tclファイルがWindowsへ転送されて・・・
    • ►  1月 (28)
 

あなたの好みのエディタは?